IFS, Structural Design Engineer
Pulau Pinang, Malaysia
Anzeigen-ID JR0262152
Stellenkategorie Silicon Hardware Engineering
Arbeitsmodus Hybrid
Erfahrungsstufe Experienced
Job Description
Intel Foundry Services (IFS) is an independent foundry business that is established to meet our customers' unique product needs. With the first Open System Foundry model in the world, our combined offerings of wafer fabrication, advanced process, and packaging technology, chiplet, software, robust ecosystem, and assembly and test capabilities help our customers build their innovative silicon designs and deliver full end-to-end customizable products from Intel's secure, resilient, and sustainable source of supply. This job opportunity in IFS will be part of the Customer Solutions Engineering (CSE) group which is responsible for the portions of the system foundry that brings the best of Intel technologies to IFS customers, differentiating and accelerating their solutions from architecture to post-silicon validation. In this role you will: Perform physical design implementation of custom IP and SoC designs from RTL to GDS to create a design database that is ready for manufacturing. Conduct all aspects of the physical design flow including synthesis, place and route, clock tree synthesis, floor planning, static timing analysis, power/clock distribution, reliability, and power and noise analysis. Conducts verification and signoff including formal equivalence verification, static timing analysis, reliability verification, static and dynamic power integrity, layout verification, electrical rule checking, and structural design checking. Achieving final convergence. Analyzes results and make recommendations to fix violations for current and future product architecture. Possess expertise in various aspects of structural and physical design, including physical clock design, timing closure, coverage analysis, multiple power domain analysis, placing, routing, synthesis, and DFT using industry standard EDA tools. Optimize and converge design to improve product-level parameters such as power, frequency, and area. Participate in the development and improvement of physical design methodologies and flow automationQualifications
Minimum qualifications are required to be initially considered for this position. Preferred qualifications are in addition to the minimum requirements and are considered a plus factor in identifying top candidates Minimum Qualifications: Bachelor or Master of Science degree in Electrical Engineering or Computer Engineering 2+ years of related industry experience Possesses overall experience in structural and physical design, and limited expertise and experience in a specific limited area: Ex. physical clock design, timing closure, coverage analysis, multiple power domain analysis, placing, routing, synthesis, and DFT using industry standard EDA tools. Preferred and Additional Qualifications: Post graduate degree Electrical Engineering, Computer Engineering, Computer Science, or in a related field of study Demonstrate experience and hands-on practical knowledge with standard-cell based VLSI design methodology and relevant industry standard EDA tools. Demonstrate strong analytical and problem solving skills through relevant experiences with ASIC/SOC design convergence. Excellent communication and leadership skills are a strong plus. Demonstrate experience in scripting with Unix shell, Perl and TCL. Self-driven with ability to prioritize work and accomplish tasks quickly with good problem solving skills. Must be detail oriented with solid written and verbal communication for expressing technical ideas and initiatives Comfortable task switching and managing multiple tasks at the same time Good understanding of digital design, circuits, layout with a thorough understanding of CMOS processes #IFSJobsInside this Business Group
Intel Foundry is a systems foundry dedicated to transforming the global semiconductor industry by delivering cutting-edge silicon process and packaging technology leadership for the AI era. With a focus on scalability, AI advancement, and shaping the future, we provide an unparalleled blend of an industry-leading technology, a rich IP portfolio, a world-class design ecosystem, and an operationally resilient global manufacturing supply chain. As stewards of Moore's Law, we persistently innovate and foster collaboration within an extensive partner ecosystem to advance technologies and enable our customers to design leadership products. Our strategic investments in geographically diverse manufacturing capacities bolster the resilience of the semiconductor supply chain, particularly for advanced products. Leveraging our technological prowess, expansive manufacturing scale, and a more sustainable supply chain, Intel Foundry empowers the world to deliver essential computing, server, mobile, networking, and automotive systems for the AI era. This position is part of Foundry Services within Intel Foundry. Foundry Services is a customer-oriented service organization. This business unit is completely dedicated to the success of its customers with full P&L responsibilities. This model will ensure that our foundry customers' products will receive our utmost focus in terms of service, technology enablement and capacity commitments.Posting Statement
All qualified applicants will receive consideration for employment without regard to race, color, religion, religious creed, sex, national origin, ancestry, age, physical or mental disability, medical condition, genetic information, military and veteran status, marital status, pregnancy, gender, gender expression, gender identity, sexual orientation, or any other characteristic protected by local law, regulation, or ordinance.Benefits
We offer a total compensation package that ranks among the best in the industry. It consists of competitive pay, stock, bonuses, as well as, benefit programs which include health, retirement, and vacation. Find more information about all of our Amazing Benefits here.Working Model
This role will be eligible for our hybrid work model which allows employees to split their time between working on-site at their assigned Intel site and off-site. In certain circumstances the work model may change to accommodate business needs.Maggie Offensive Security Researcher
Ich wollte schon immer etwas tun, das die Welt verändert – bei Intel fühle ich mich geschätzt und ich habe mehr Selbstvertrauen gewonnen. Die Arbeit gibt mir das Gefühl, dass ich in der Lage bin, Großes zu leisten.
- Software Technical Solutions Engineer Danzig, Polen Jetzt bewerben
- Practical Engineering Student - Kiryat Gat Kirjat Gat, Israel Jetzt bewerben
- Device Engineer Santa Clara, Kalifornien Jetzt bewerben
Sie haben noch keine gespeicherten Jobs.
Alle Stellenangebote AnsehenWerden Sie Mitglied unserer Talent Community
Erfahren Sie immer direkt, was bei Intel passiert! Melden Sie sich an, um die neuesten Nachrichten und Updates zu erhalten.